Most Read Research Articles


Warning: Creating default object from empty value in /var/www/html/sandbox.ijcaonline.org/public_html/modules/mod_mostread/helper.php on line 79

Warning: Creating default object from empty value in /var/www/html/sandbox.ijcaonline.org/public_html/modules/mod_mostread/helper.php on line 79

Warning: Creating default object from empty value in /var/www/html/sandbox.ijcaonline.org/public_html/modules/mod_mostread/helper.php on line 79

Warning: Creating default object from empty value in /var/www/html/sandbox.ijcaonline.org/public_html/modules/mod_mostread/helper.php on line 79

Warning: Creating default object from empty value in /var/www/html/sandbox.ijcaonline.org/public_html/modules/mod_mostread/helper.php on line 79
Call for Paper - May 2015 Edition
IJCA solicits original research papers for the May 2015 Edition. Last date of manuscript submission is April 20, 2015. Read More

Power Aware High Level Synthesis with Gated Clock Skew Management

Print
PDF
IJCA Proceedings on International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences
© 2013 by IJCA Journal
ICIIIOES - Number 1
Year of Publication: 2013
Authors:
T. Devimeena1
V. Saravanan2

T Devimeena1 and V Saravanan2. Article: Power Aware High Level Synthesis with Gated Clock Skew Management. IJCA Proceedings on International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences ICIIIOES(1):34-36, December 2013. Full text available. BibTeX

@article{key:article,
	author = {T. Devimeena1 and V. Saravanan2},
	title = {Article: Power Aware High Level Synthesis with Gated Clock Skew Management},
	journal = {IJCA Proceedings on International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences},
	year = {2013},
	volume = {ICIIIOES},
	number = {1},
	pages = {34-36},
	month = {December},
	note = {Full text available}
}

Abstract

A new method of achieving the target output with a less number of clock pulses has been introduced. Clock signal is a particular type of signal that oscillates between a high and a low state and is utilized like a metronome to coordinate actions of circuits. Although the word signal has a number of other meanings, the term here is used for "transmitted energy that can carry information". In some cases, more than one clock cycle is required to perform a predictable action. As the circuits become more complex, the problem of supplying accurate and synchronized clocks to all the circuits becomes increasingly difficult. A hierarchical low power module approach is utilized for near optimal results. A clock gating architecture can be added with the clock scheduling scheme to control the unnecessary power flow between the idle sequential circuits. The overall power reduction can be calculated by implementing the clock scheduling and power gating techniques in a SRAM Memory architecture with static and dynamic power calculation.

References

  • Tung-Hua Yeh and Sying Jyan Wang 2012 Power Aware High Level Synthesis with Gated Clock Skew Management.
  • Frans Theeuwen, Eric Seelen Power Reduction Through Clock gating by Symbolic Manipulation.
  • Li Li1, Jian Sun2, Yinghai Lu1, Hai Zhou1, Xuan Zeng2 "Low Power Discrete Voltage Assignment under Clock Skew Scheduling", Journal of Information Science and Engineering, 2009.
  • Shih-Hsu Huang, Chun-Hua Cheng and Dachen Tzeng Simultaneous Clock Skew Scheduling and Power Gated Module Selection for Standby Leakage Minimization.
  • Hariyama, M. , Ayoma, T. , and Kameyama, M. 2005. Genetic Approach to minimizing power consumption of VLSI Processors Using Multiple Supply Voltages.
  • Huang, S. H. , and Cheng, C. H. 2009 Timing Driven Power Gating in High Level Synthesis. " in Prof. Int. Conf. Comput. - Aided Des. Autom. Conf. , pp. 173-178.
  • Ni, M. , memik, S. O. , 2009. A Fast Heuristic Algorithm for Multidomain Clock Skew scheduling.
  • Obata, T. , Kaneko, M. , 2004 Clock Signal Skew Scheduling in RT Level Data path Synthesis.
  • Deokar, R. B. , Sapatnekar, S. S. 2007 A Graph Theoric Approach to Clock Skew Optimization.